• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 电子设计 > PCB设计 > Allegro PCB技术问答 > 如何设置每层的线宽和间距?

如何设置每层的线宽和间距?

录入:edatop.com     点击:
各位大虾,我走线时每换一层都要重新选择线宽,如何在设置规则中一次设置好,每换层时自动转换了,我不知在那里设请高手指点,同时我在SETUP中设置的线间距为何不起作用,请高手指点,在此谢过!

在约束管理器里面设,应该是在phyical rule里面。

是不是你设置了,但是没给相应的网络分配啊

楼上的一语中的
等于制定了规则,没有把规则应用到对象,规则没人遵守
所以要分配网络才会按你期望的约束去走线

给点步骤吧,各位

相应的网络分配?这个怎么做?

在setup\constratis 裡設定physical 是設定線寬,Spacing是設定間距..



Cadence Allegro 培训套装,视频教学,直观易学

上一篇:exposed thermal pad是 什么意思
下一篇:盲埋孔做对比需要什么特殊设置么?

PCB设计培训课程推荐详情>>

  网站地图