• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 电子设计 > PCB设计 > Orcad技术问题 > Capture 15.2生成网表时出现错误,求助

Capture 15.2生成网表时出现错误,求助

录入:edatop.com     点击:
我有3个原理图文件,DRC无错误和警告,在原件封装都填好之后,准备生成网表。
前2个原理图文件都生成了网表文件。
第三个原理图文件生成网表时,出现如下错误,请看图片:


各位朋友是否遇到过此问题,我应该如何解决呢?
谢谢。

你是要生成PADS的吗,如果是,标签要选最后的那个

生产的就是原理图的网表啊,可惜一直提示上面的错误。
我其他的原理图文件提取网表的时候都是正常的。难道我这份原理图中有的器件封装不对造成?

Cadence Allegro 培训套装,视频教学,直观易学

上一篇:哪位高人知道resistive power splitter的电路符号怎么画么
下一篇:求教更新器件问题

PCB设计培训课程推荐详情>>

  网站地图