• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 电子设计 > PCB设计 > PADS设计问答 > logic原理图中的元件太小了,怎么能变大?

logic原理图中的元件太小了,怎么能变大?

录入:edatop.com    点击:
请问logic原理图中的元件太小了,怎么能变大?

无图无真相!

右键->Edit Part

把logic显示界面放大一点。

射频工程师养成培训教程套装,助您快速成为一名优秀射频工程师...

天线设计工程师培训课程套装,资深专家授课,让天线设计不再难...

上一篇:原理图修改封装.
下一篇:PADS导入板框问题.

射频和天线工程师培训课程详情>>

  网站地图